联系我们
意见反馈

关注公众号

获得最新科研资讯

分享到

搜索

时间范围
-
按关键词搜索
类别

导出

类别
选择格式

搜索结果: 24 (24)

2017
会议 2017 IEEE International Symposium on Circuits and Systems (ISCAS)
2017
会议 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC)
2016
期刊 IEEE Transactions on Circuits and Systems II: Express Briefs
2016
期刊 IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2015
会议 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
2015
会议 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015
2014
会议 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines
2014
会议 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014
2014
会议 2014 IEEE International Symposium on Circuits and Systems (ISCAS)
2013
会议 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013)
2013
会议 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013)
2013
会议 Proceedings of the 50th Annual Design Automation Conference on - DAC '13
2012
期刊 Scientia Sinica Informationis
2011
会议 2011 9th IEEE International Conference on ASIC
2010
会议 2010 IEEE Asia Pacific Conference on Circuits and Systems
2009
会议 2009 International Conference on Communications, Circuits and Systems
2009
会议 2009 International Conference on Communications, Circuits and Systems
2008
会议 2008 International Conference on Communications, Circuits and Systems